38译码器vhdl代码_38译码器 天天百事通
2023-06-25 11:42:50来源:互联网


(相关资料图)

1、library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity trans38 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0) );end trans38;architecture dec_behave of trans38 is signal sel:std_logic_vector(3 downto 0);begin sel<=A&EN; with sel select Y<= "00000001" when "0001", "00000010" when "0011", "00000100" when "0101", "00001000" when "0111", "00010000" when "1001", "00100000" when "1011", "01000000" when "1101", "10000000" when "1111", "XXXXXXXX" when others;end dec_behave;。

本文到此分享完毕,希望对大家有所帮助。

标签:

下一篇: 最后一页
上一篇: 速读:今年全国快递业务量已达600亿件

相关新闻

保险时讯